-
Notifications
You must be signed in to change notification settings - Fork 16
/
clock_gen.vhd
54 lines (46 loc) · 1.48 KB
/
clock_gen.vhd
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
-- author: Furkan Cayci, 2018
-- description: generate 1x pixel and 5x serial clocks from the input clock
-- default to 125 Mhz input clock
-- 25 and 125 Mhz output clocks
library ieee;
use ieee.std_logic_1164.all;
library unisim;
use unisim.vcomponents.all;
entity clock_gen is
generic (
CLKIN_PERIOD : real := 8.000; -- input clock period (8ns)
CLK_MULTIPLY : integer := 8; -- multiplier
CLK_DIVIDE : integer := 1; -- divider
CLKOUT0_DIV : integer := 8; -- serial clock divider
CLKOUT1_DIV : integer := 40 -- pixel clock divider
);
port(
clk_i : in std_logic; -- input clock
clk0_o : out std_logic; -- serial clock
clk1_o : out std_logic -- pixel clock
);
end clock_gen;
architecture rtl of clock_gen is
signal pllclk0, pllclk1 : std_logic;
signal clkfbout : std_logic;
begin
-- buffer output clocks
clk0buf: BUFG port map (I=>pllclk0, O=>clk0_o);
clk1buf: BUFG port map (I=>pllclk1, O=>clk1_o);
clock: PLLE2_BASE generic map (
clkin1_period => CLKIN_PERIOD,
clkfbout_mult => CLK_MULTIPLY,
clkout0_divide => CLKOUT0_DIV,
clkout1_divide => CLKOUT1_DIV,
divclk_divide => CLK_DIVIDE
)
port map(
rst => '0',
pwrdwn => '0',
clkin1 => clk_i,
clkfbin => clkfbout,
clkfbout => clkfbout,
clkout0 => pllclk0,
clkout1 => pllclk1
);
end rtl;