From 85073939a3804c9a1e70c50a7817f125ed28789e Mon Sep 17 00:00:00 2001 From: emard Date: Tue, 28 Apr 2020 00:39:55 +0200 Subject: [PATCH] nextpnr: allow timing to fail --- ulx3s/ulx3s.mk | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/ulx3s/ulx3s.mk b/ulx3s/ulx3s.mk index 9db2192..c4e4d44 100644 --- a/ulx3s/ulx3s.mk +++ b/ulx3s/ulx3s.mk @@ -14,7 +14,7 @@ $(BUILDDIR)/toplevel.json: $(VERILOG) yosys -p "synth_ecp5 -json $@" $^ $(BUILDDIR)/%.config: $(PIN_DEF) $(BUILDDIR)/toplevel.json - nextpnr-ecp5 --${DEVICE} --package CABGA381 --freq 25 --textcfg $@ --json $(filter-out $<,$^) --lpf $< + nextpnr-ecp5 --${DEVICE} --package CABGA381 --timing-allow-fail --freq 25 --textcfg $@ --json $(filter-out $<,$^) --lpf $< $(BUILDDIR)/toplevel.bit: $(BUILDDIR)/toplevel.config ecppack --compress $^ $@