diff --git a/src/decoder.v b/src/decoder.v index a7a8d7c0..9f4198aa 100644 --- a/src/decoder.v +++ b/src/decoder.v @@ -27,7 +27,7 @@ :math:`β` - Membrane potential decay rate */ -module lif ( +modle lif ( input wire [7:0] current, input wire clk, input wire rst_n, @@ -35,7 +35,32 @@ module lif ( output reg [7:0] state ); +<<<<<<< HEAD +======= + always @(*) begin + case(counter) + // 7654321 + 0: segments = 7'b0111111; + 1: segments = 7'b0000110; + 2: segments = 7'b1011011; + 3: segments = 7'b1001111; + 4: segments = 7'b1100110; + 5: segments = 7'b1101101; + 6: segments = 7'b1111101; + 7: segments = 7'b0000111; + 8: segments = 7'b1111111; + 9: segments = 7'b1101111; + 10: segments = 7'b1110111; + 11: segments = 7'b1111100; + 12: segments = 7'b0111001; + 13: segments = 7'b1011110; + 14: segments = 7'b1111001; + 15: segments = 7'b1110001; + default: + segments = 7'b0000000; + endcase + end +>>>>>>> origin/main -endmodule diff --git a/src/test.py b/src/test.py index 84d627fe..fb76b0ea 100644 --- a/src/test.py +++ b/src/test.py @@ -3,7 +3,7 @@ from cocotb.triggers import RisingEdge, FallingEdge, Timer, ClockCycles -segments = [ 63, 6, 91, 79, 102, 109, 124, 7, 127, 103 ] +segments = [ 63, 6, 91, 79, 102, 109, 125, 7, 127, 111 ] @cocotb.test() async def test_7seg(dut):