-
Notifications
You must be signed in to change notification settings - Fork 0
/
Copy pathvivado.jou
27 lines (27 loc) · 2.73 KB
/
vivado.jou
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
#-----------------------------------------------------------
# Vivado v2019.1 (64-bit)
# SW Build 2552052 on Fri May 24 14:47:09 MDT 2019
# IP Build 2548770 on Fri May 24 18:01:18 MDT 2019
# Start of session at: Thu Jun 18 09:43:54 2020
# Process ID: 8178
# Current directory: /home/pabitra/workspace/project_zcu102
# Command line: vivado
# Log file: /home/pabitra/workspace/project_zcu102/vivado.log
# Journal file: /home/pabitra/workspace/project_zcu102/vivado.jou
#-----------------------------------------------------------
start_gui
open_project /home/pabitra/workspace/project_zcu102/project_zcu102.xpr
update_compile_order -fileset sources_1
open_bd_design {/home/pabitra/workspace/project_zcu102/project_zcu102.srcs/sources_1/bd/design_1/design_1.bd}
set_property location {1 310 -223} [get_bd_cells zynq_ultra_ps_e_0]
generate_target all [get_files /home/pabitra/workspace/project_zcu102/project_zcu102.srcs/sources_1/bd/design_1/design_1.bd]
export_ip_user_files -of_objects [get_files /home/pabitra/workspace/project_zcu102/project_zcu102.srcs/sources_1/bd/design_1/design_1.bd] -no_script -sync -force -quiet
create_ip_run [get_files -of_objects [get_fileset sources_1] /home/pabitra/workspace/project_zcu102/project_zcu102.srcs/sources_1/bd/design_1/design_1.bd]
export_simulation -of_objects [get_files /home/pabitra/workspace/project_zcu102/project_zcu102.srcs/sources_1/bd/design_1/design_1.bd] -directory /home/pabitra/workspace/project_zcu102/project_zcu102.ip_user_files/sim_scripts -ip_user_files_dir /home/pabitra/workspace/project_zcu102/project_zcu102.ip_user_files -ipstatic_source_dir /home/pabitra/workspace/project_zcu102/project_zcu102.ip_user_files/ipstatic -lib_map_path [list {modelsim=/home/pabitra/workspace/project_zcu102/project_zcu102.cache/compile_simlib/modelsim} {questa=/home/pabitra/workspace/project_zcu102/project_zcu102.cache/compile_simlib/questa} {ies=/home/pabitra/workspace/project_zcu102/project_zcu102.cache/compile_simlib/ies} {xcelium=/home/pabitra/workspace/project_zcu102/project_zcu102.cache/compile_simlib/xcelium} {vcs=/home/pabitra/workspace/project_zcu102/project_zcu102.cache/compile_simlib/vcs} {riviera=/home/pabitra/workspace/project_zcu102/project_zcu102.cache/compile_simlib/riviera}] -use_ip_compiled_libs -force -quiet
make_wrapper -files [get_files /home/pabitra/workspace/project_zcu102/project_zcu102.srcs/sources_1/bd/design_1/design_1.bd] -top
open_bd_design {/home/pabitra/workspace/project_zcu102/project_zcu102.srcs/sources_1/bd/design_1/design_1.bd}
save_bd_design
launch_runs impl_1 -to_step write_bitstream -jobs 8
wait_on_run impl_1
open_bd_design {/home/pabitra/workspace/project_zcu102/project_zcu102.srcs/sources_1/bd/design_1/design_1.bd}
set_property location {1 268 -218} [get_bd_cells zynq_ultra_ps_e_0]