Skip to content

Commit

Permalink
Merge pull request #28 from timmy61109/release/v5.3.4-beta
Browse files Browse the repository at this point in the history
Release/v5.3.4-beta
  • Loading branch information
timmy61109 authored Sep 9, 2019
2 parents 4329dee + 234c6e2 commit 56dd8f9
Show file tree
Hide file tree
Showing 349 changed files with 22,379 additions and 1 deletion.
271 changes: 271 additions & 0 deletions CH5/CH5-2/Full_subtractor_S.bdf
Original file line number Diff line number Diff line change
@@ -0,0 +1,271 @@
/*
WARNING: Do NOT edit the input and output ports in this file in a text
editor if you plan to continue editing the block that represents it in
the Block Editor! File corruption is VERY likely to occur.
*/
/*
Copyright (C) 1991-2015 Altera Corporation. All rights reserved.
Your use of Altera Corporation's design tools, logic functions
and other software and tools, and its AMPP partner logic
functions, and any output files from any of the foregoing
(including device programming or simulation files), and any
associated documentation or information are expressly subject
to the terms and conditions of the Altera Program License
Subscription Agreement, the Altera Quartus II License Agreement,
the Altera MegaCore Function License Agreement, or other
applicable license agreement, including, without limitation,
that your use is for the sole purpose of programming logic
devices manufactured by Altera and sold by Altera or its
authorized distributors. Please refer to the applicable
agreement for further details.
*/
(header "graphic" (version "1.4"))
(pin
(input)
(rect 120 232 288 248)
(text "INPUT" (rect 125 0 154 9)(font "Arial" (font_size 6)))
(text "A" (rect 5 0 14 10)(font "Arial" ))
(pt 168 8)
(drawing
(line (pt 84 12)(pt 109 12))
(line (pt 84 4)(pt 109 4))
(line (pt 113 8)(pt 168 8))
(line (pt 84 12)(pt 84 4))
(line (pt 109 4)(pt 113 8))
(line (pt 109 12)(pt 113 8))
)
(text "VCC" (rect 128 7 149 16)(font "Arial" (font_size 6)))
)
(pin
(input)
(rect 120 248 288 264)
(text "INPUT" (rect 125 0 154 9)(font "Arial" (font_size 6)))
(text "B" (rect 5 0 14 10)(font "Arial" ))
(pt 168 8)
(drawing
(line (pt 84 12)(pt 109 12))
(line (pt 84 4)(pt 109 4))
(line (pt 113 8)(pt 168 8))
(line (pt 84 12)(pt 84 4))
(line (pt 109 4)(pt 113 8))
(line (pt 109 12)(pt 113 8))
)
(text "VCC" (rect 128 7 149 16)(font "Arial" (font_size 6)))
)
(pin
(input)
(rect 120 304 288 320)
(text "INPUT" (rect 125 0 154 9)(font "Arial" (font_size 6)))
(text "Bi" (rect 5 0 16 10)(font "Arial" ))
(pt 168 8)
(drawing
(line (pt 84 12)(pt 109 12))
(line (pt 84 4)(pt 109 4))
(line (pt 113 8)(pt 168 8))
(line (pt 84 12)(pt 84 4))
(line (pt 109 4)(pt 113 8))
(line (pt 109 12)(pt 113 8))
)
(text "VCC" (rect 128 7 149 16)(font "Arial" (font_size 6)))
)
(pin
(output)
(rect 664 232 840 248)
(text "OUTPUT" (rect 1 0 41 9)(font "Arial" (font_size 6)))
(text "Do" (rect 90 0 105 10)(font "Arial" ))
(pt 0 8)
(drawing
(line (pt 0 8)(pt 52 8))
(line (pt 52 4)(pt 78 4))
(line (pt 52 12)(pt 78 12))
(line (pt 52 12)(pt 52 4))
(line (pt 78 4)(pt 82 8))
(line (pt 82 8)(pt 78 12))
(line (pt 78 12)(pt 82 8))
)
)
(pin
(output)
(rect 664 272 840 288)
(text "OUTPUT" (rect 1 0 41 9)(font "Arial" (font_size 6)))
(text "Bo" (rect 90 0 104 10)(font "Arial" ))
(pt 0 8)
(drawing
(line (pt 0 8)(pt 52 8))
(line (pt 52 4)(pt 78 4))
(line (pt 52 12)(pt 78 12))
(line (pt 52 12)(pt 52 4))
(line (pt 78 4)(pt 82 8))
(line (pt 82 8)(pt 78 12))
(line (pt 78 12)(pt 82 8))
)
)
(symbol
(rect 304 208 400 304)
(text "Half_subtractor" (rect 5 0 94 12)(font "Arial" (font_size 8)))
(text "inst" (rect 8 82 25 92)(font "Arial" ))
(port
(pt 0 32)
(input)
(text "A" (rect 0 0 11 12)(font "Arial" (font_size 8)))
(text "A" (rect 21 27 32 39)(font "Arial" (font_size 8)))
(line (pt 0 32)(pt 16 32))
)
(port
(pt 0 48)
(input)
(text "B" (rect 0 0 10 12)(font "Arial" (font_size 8)))
(text "B" (rect 21 43 31 55)(font "Arial" (font_size 8)))
(line (pt 0 48)(pt 16 48))
)
(port
(pt 96 32)
(output)
(text "Do" (rect 0 0 18 12)(font "Arial" (font_size 8)))
(text "Do" (rect 57 27 75 39)(font "Arial" (font_size 8)))
(line (pt 96 32)(pt 80 32))
)
(port
(pt 96 48)
(output)
(text "Bo" (rect 0 0 17 12)(font "Arial" (font_size 8)))
(text "Bo" (rect 58 43 75 55)(font "Arial" (font_size 8)))
(line (pt 96 48)(pt 80 48))
)
(drawing
(rectangle (rect 16 16 80 80))
)
)
(symbol
(rect 448 208 544 304)
(text "Half_subtractor" (rect 5 0 94 12)(font "Arial" (font_size 8)))
(text "inst1" (rect 8 82 31 92)(font "Arial" ))
(port
(pt 0 32)
(input)
(text "A" (rect 0 0 11 12)(font "Arial" (font_size 8)))
(text "A" (rect 21 27 32 39)(font "Arial" (font_size 8)))
(line (pt 0 32)(pt 16 32))
)
(port
(pt 0 48)
(input)
(text "B" (rect 0 0 10 12)(font "Arial" (font_size 8)))
(text "B" (rect 21 43 31 55)(font "Arial" (font_size 8)))
(line (pt 0 48)(pt 16 48))
)
(port
(pt 96 32)
(output)
(text "Do" (rect 0 0 18 12)(font "Arial" (font_size 8)))
(text "Do" (rect 57 27 75 39)(font "Arial" (font_size 8)))
(line (pt 96 32)(pt 80 32))
)
(port
(pt 96 48)
(output)
(text "Bo" (rect 0 0 17 12)(font "Arial" (font_size 8)))
(text "Bo" (rect 58 43 75 55)(font "Arial" (font_size 8)))
(line (pt 96 48)(pt 80 48))
)
(drawing
(rectangle (rect 16 16 80 80))
)
)
(symbol
(rect 576 256 640 304)
(text "OR2" (rect 1 0 23 9)(font "Arial" (font_size 6)))
(text "inst2" (rect 3 37 26 47)(font "Arial" ))
(port
(pt 0 32)
(input)
(text "IN2" (rect 2 23 23 34)(font "Courier New" (bold))(invisible))
(text "IN2" (rect 2 23 23 34)(font "Courier New" (bold))(invisible))
(line (pt 0 32)(pt 15 32))
)
(port
(pt 0 16)
(input)
(text "IN1" (rect 2 7 24 18)(font "Courier New" (bold))(invisible))
(text "IN1" (rect 2 7 24 18)(font "Courier New" (bold))(invisible))
(line (pt 0 16)(pt 15 16))
)
(port
(pt 64 24)
(output)
(text "OUT" (rect 48 15 70 26)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 48 15 70 26)(font "Courier New" (bold))(invisible))
(line (pt 48 24)(pt 64 24))
)
(drawing
(line (pt 14 36)(pt 25 36))
(line (pt 14 13)(pt 25 13))
(arc (pt 7 29)(pt 7 19)(rect -14 8 19 41))
(arc (pt 49 24)(pt 25 13)(rect -6 13 57 76))
(arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36))
)
)
(connector
(pt 400 240)
(pt 448 240)
)
(connector
(pt 432 256)
(pt 448 256)
)
(connector
(pt 400 256)
(pt 416 256)
)
(connector
(pt 416 256)
(pt 416 320)
)
(connector
(pt 544 256)
(pt 560 256)
)
(connector
(pt 560 256)
(pt 560 272)
)
(connector
(pt 560 272)
(pt 576 272)
)
(connector
(pt 416 320)
(pt 560 320)
)
(connector
(pt 560 320)
(pt 560 288)
)
(connector
(pt 560 288)
(pt 576 288)
)
(connector
(pt 544 240)
(pt 664 240)
)
(connector
(pt 640 280)
(pt 664 280)
)
(connector
(pt 432 256)
(pt 432 312)
)
(connector
(pt 288 240)
(pt 304 240)
)
(connector
(pt 288 256)
(pt 304 256)
)
(connector
(pt 288 312)
(pt 432 312)
)
31 changes: 31 additions & 0 deletions CH5/CH5-2/Full_subtractor_S.qpf
Original file line number Diff line number Diff line change
@@ -0,0 +1,31 @@
# -------------------------------------------------------------------------- #
#
# Copyright (C) 1991-2015 Altera Corporation. All rights reserved.
# Your use of Altera Corporation's design tools, logic functions
# and other software and tools, and its AMPP partner logic
# functions, and any output files from any of the foregoing
# (including device programming or simulation files), and any
# associated documentation or information are expressly subject
# to the terms and conditions of the Altera Program License
# Subscription Agreement, the Altera Quartus II License Agreement,
# the Altera MegaCore Function License Agreement, or other
# applicable license agreement, including, without limitation,
# that your use is for the sole purpose of programming logic
# devices manufactured by Altera and sold by Altera or its
# authorized distributors. Please refer to the applicable
# agreement for further details.
#
# -------------------------------------------------------------------------- #
#
# Quartus II 64-Bit
# Version 15.0.2 Build 153 07/15/2015 SJ Web Edition
# Date created = 17:08:29 August 26, 2019
#
# -------------------------------------------------------------------------- #

QUARTUS_VERSION = "15.0"
DATE = "17:08:29 August 26, 2019"

# Revisions

PROJECT_REVISION = "Full_subtractor_S"
64 changes: 64 additions & 0 deletions CH5/CH5-2/Full_subtractor_S.qsf
Original file line number Diff line number Diff line change
@@ -0,0 +1,64 @@
# -------------------------------------------------------------------------- #
#
# Copyright (C) 1991-2015 Altera Corporation. All rights reserved.
# Your use of Altera Corporation's design tools, logic functions
# and other software and tools, and its AMPP partner logic
# functions, and any output files from any of the foregoing
# (including device programming or simulation files), and any
# associated documentation or information are expressly subject
# to the terms and conditions of the Altera Program License
# Subscription Agreement, the Altera Quartus II License Agreement,
# the Altera MegaCore Function License Agreement, or other
# applicable license agreement, including, without limitation,
# that your use is for the sole purpose of programming logic
# devices manufactured by Altera and sold by Altera or its
# authorized distributors. Please refer to the applicable
# agreement for further details.
#
# -------------------------------------------------------------------------- #
#
# Quartus II 64-Bit
# Version 15.0.2 Build 153 07/15/2015 SJ Web Edition
# Date created = 17:08:29 August 26, 2019
#
# -------------------------------------------------------------------------- #
#
# Notes:
#
# 1) The default values for assignments are stored in the file:
# Full_subtractor_S_assignment_defaults.qdf
# If this file doesn't exist, see file:
# assignment_defaults.qdf
#
# 2) Altera recommends that you do not modify this file. This
# file is updated automatically by the Quartus II software
# and any changes you make may be lost or overwritten.
#
# -------------------------------------------------------------------------- #


set_global_assignment -name FAMILY "Cyclone V"
set_global_assignment -name DEVICE 5CSXFC6D6F31C8
set_global_assignment -name TOP_LEVEL_ENTITY Full_subtractor_S
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 15.0.2
set_global_assignment -name PROJECT_CREATION_TIME_DATE "17:08:29 AUGUST 26, 2019"
set_global_assignment -name LAST_QUARTUS_VERSION 15.0.2
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 256
set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (VHDL)"
set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VHDL -section_id eda_simulation
set_global_assignment -name BDF_FILE Full_subtractor_S.bdf
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
set_global_assignment -name VECTOR_WAVEFORM_FILE Full_subtractor_S.vwf
set_global_assignment -name CDF_FILE output_files/Full_subtractor_S.cdf
set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "2.5 V"
set_location_assignment PIN_W25 -to A
set_location_assignment PIN_V25 -to B
set_location_assignment PIN_AC28 -to Bi
set_location_assignment PIN_AF10 -to Bo
set_location_assignment PIN_AD10 -to Do
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
Binary file added CH5/CH5-2/Full_subtractor_S.qws
Binary file not shown.
Loading

0 comments on commit 56dd8f9

Please sign in to comment.