Skip to content

Commit

Permalink
Updates
Browse files Browse the repository at this point in the history
  • Loading branch information
akashlevy committed Sep 16, 2024
2 parents 82698c1 + 86460db commit c85c388
Show file tree
Hide file tree
Showing 5 changed files with 40 additions and 52 deletions.
4 changes: 0 additions & 4 deletions include/sta/EquivCells.hh
Original file line number Diff line number Diff line change
Expand Up @@ -73,8 +73,4 @@ bool
equivCellSequentials(const LibertyCell *cell1,
const LibertyCell *cell2);

bool
equivCellFootprints(const LibertyCell *cell1,
const LibertyCell *cell2);

} // namespace
10 changes: 1 addition & 9 deletions liberty/EquivCells.cc
Original file line number Diff line number Diff line change
Expand Up @@ -325,8 +325,7 @@ equivCells(const LibertyCell *cell1,
&& equivCellPgPorts(cell1, cell2)
&& equivCellSequentials(cell1, cell2)
&& equivCellStatetables(cell1, cell2)
&& equivCellTimingArcSets(cell1, cell2)
&& equivCellFootprints(cell1, cell2);
&& equivCellTimingArcSets(cell1, cell2);
}

bool
Expand Down Expand Up @@ -526,11 +525,4 @@ equivCellTimingArcSets(const LibertyCell *cell1,
}
}

bool
equivCellFootprints(const LibertyCell *cell1,
const LibertyCell *cell2)
{
return stringEqIf(cell1->footprint(), cell2->footprint());
}

} // namespace
6 changes: 3 additions & 3 deletions liberty/Liberty.cc
Original file line number Diff line number Diff line change
Expand Up @@ -768,8 +768,8 @@ LibertyLibrary::makeCornerMap(LibertyCell *cell1,
cell2->name());
}

for (auto arc_set1 : cell1->timing_arc_sets_) {
auto arc_set2 = cell2->findTimingArcSet(arc_set1);
for (TimingArcSet *arc_set1 : cell1->timing_arc_sets_) {
TimingArcSet *arc_set2 = cell2->findTimingArcSet(arc_set1);
if (arc_set2) {
if (link) {
const TimingArcSeq &arcs1 = arc_set1->arcs();
Expand All @@ -789,7 +789,7 @@ LibertyLibrary::makeCornerMap(LibertyCell *cell1,
report->warn(1111, "cell %s/%s %s -> %s timing group %s not found in cell %s/%s.",
cell1->library()->name(),
cell1->name(),
arc_set1->from()->name(),
arc_set1->from() ? arc_set1->from()->name() : "",
arc_set1->to()->name(),
arc_set1->role()->asString(),
cell2->library()->name(),
Expand Down
36 changes: 18 additions & 18 deletions test/get_filter.ok
Original file line number Diff line number Diff line change
@@ -1,34 +1,34 @@
get_cells
[get_cells -filter liberty_cell==BUFx2_ASAP7_75t_R *]
u1
get_clocks
[get_clocks -filter is_virtual==0 *]
clk
get_clocks 2
[get_clocks -filter is_virtual==1 *]
vclk
get_clocks 3
[get_clocks -filter is_virtual *]
vclk
get_clocks 4
get_clocks 5
[get_clocks -filter is_virtual&&is_generated *]
[get_clocks -filter is_virtual&&is_generated==0 *]
vclk
get_clocks 6
[get_clocks -filter is_virtual||is_generated *]
vclk
get_clocks 7
[get_clocks -filter is_virtual==0||is_generated *]
clk
get_lib_cells
[get_lib_cells -filter is_buffer==1 *]
asap7_small/BUFx2_ASAP7_75t_R
get_lib_cells 2
[get_lib_cells -filter is_inverter==0 *]
asap7_small/AND2x2_ASAP7_75t_R
asap7_small/BUFx2_ASAP7_75t_R
asap7_small/DFFHQx4_ASAP7_75t_R
get_lib_pins
[get_lib_pins -filter direction==input BUFx2_ASAP7_75t_R/*]
A
get_lib_pins 2
[get_lib_pins -filter direction==output BUFx2_ASAP7_75t_R/*]
Y
get_libs
[get_libs -filter name==asap7_small *]
asap7_small
get_nets
[get_nets -filter name=~*q *]
r1q
r2q
get_pins
[get_pins -filter direction==input *]
r1/CLK
r1/D
r2/CLK
Expand All @@ -38,17 +38,17 @@ r3/D
u1/A
u2/A
u2/B
get_pins 2
[get_pins -filter direction==output *]
r1/Q
r2/Q
r3/Q
u1/Y
u2/Y
get_ports
[get_ports -filter direction==input *]
clk1
clk2
clk3
in1
in2
get_ports 2
[get_ports -filter direction==output *]
out
36 changes: 18 additions & 18 deletions test/get_filter.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -6,39 +6,39 @@ create_clock -name clk -period 500 {clk1 clk2 clk3}
create_clock -name vclk -period 1000

# Test filters for each SDC command
puts "get_cells"
puts {[get_cells -filter liberty_cell==BUFx2_ASAP7_75t_R *]}
report_object_full_names [get_cells -filter liberty_cell==BUFx2_ASAP7_75t_R *]
puts "get_clocks"
puts {[get_clocks -filter is_virtual==0 *]}
report_object_full_names [get_clocks -filter is_virtual==0 *]
puts "get_clocks 2"
puts {[get_clocks -filter is_virtual==1 *]}
report_object_full_names [get_clocks -filter is_virtual==1 *]
puts "get_clocks 3"
puts {[get_clocks -filter is_virtual *]}
report_object_full_names [get_clocks -filter is_virtual *]
puts "get_clocks 4"
puts {[get_clocks -filter is_virtual&&is_generated *]}
report_object_full_names [get_clocks -filter is_virtual&&is_generated *]
puts "get_clocks 5"
puts {[get_clocks -filter is_virtual&&is_generated==0 *]}
report_object_full_names [get_clocks -filter is_virtual&&is_generated==0 *]
puts "get_clocks 6"
puts {[get_clocks -filter is_virtual||is_generated *]}
report_object_full_names [get_clocks -filter is_virtual||is_generated *]
puts "get_clocks 7"
puts {[get_clocks -filter is_virtual==0||is_generated *]}
report_object_full_names [get_clocks -filter is_virtual==0||is_generated *]
puts "get_lib_cells"
puts {[get_lib_cells -filter is_buffer==1 *]}
report_object_full_names [get_lib_cells -filter is_buffer==1 *]
puts "get_lib_cells 2"
puts {[get_lib_cells -filter is_inverter==0 *]}
report_object_full_names [get_lib_cells -filter is_inverter==0 *]
puts "get_lib_pins"
puts {[get_lib_pins -filter direction==input BUFx2_ASAP7_75t_R/*]}
report_object_full_names [get_lib_pins -filter direction==input BUFx2_ASAP7_75t_R/*]
puts "get_lib_pins 2"
puts {[get_lib_pins -filter direction==output BUFx2_ASAP7_75t_R/*]}
report_object_full_names [get_lib_pins -filter direction==output BUFx2_ASAP7_75t_R/*]
puts "get_libs"
puts {[get_libs -filter name==asap7_small *]}
report_object_full_names [get_libs -filter name==asap7_small *]
puts "get_nets"
puts {[get_nets -filter name=~*q *]}
report_object_full_names [get_nets -filter name=~*q *]
puts "get_pins"
puts {[get_pins -filter direction==input *]}
report_object_full_names [get_pins -filter direction==input *]
puts "get_pins 2"
puts {[get_pins -filter direction==output *]}
report_object_full_names [get_pins -filter direction==output *]
puts "get_ports"
puts {[get_ports -filter direction==input *]}
report_object_full_names [get_ports -filter direction==input *]
puts "get_ports 2"
puts {[get_ports -filter direction==output *]}
report_object_full_names [get_ports -filter direction==output *]

0 comments on commit c85c388

Please sign in to comment.