Skip to content

Commit

Permalink
[SYND] RsSynd fix use firstConsecutiveRoot insted of i.
Browse files Browse the repository at this point in the history
  • Loading branch information
egorman44 committed May 5, 2024
1 parent 794ee96 commit 9051c3a
Showing 1 changed file with 6 additions and 6 deletions.
12 changes: 6 additions & 6 deletions src/main/scala/RsSynd/RsSynd.scala
Original file line number Diff line number Diff line change
Expand Up @@ -7,22 +7,22 @@ import chisel3.util._
class RsSynd extends Module with GfParams {
val io = IO(new Bundle {
val sAxisIf = Input(Valid(new axisIf(axisWidth)))
val synd = Output(Valid(Vec(redundancy, UInt(symbWidth.W))))
val syndIf = Output(Valid(Vec(redundancy, UInt(symbWidth.W))))
})

for(i <- 0 until redundancy) {
val rsSyndRoot = Module(new RsSyndPolyEval)
rsSyndRoot.io.root := alphaToSymb(i.U)
val rsSyndRoot = Module(new RsSyndPolyEval)
rsSyndRoot.io.root := alphaToSymb((firstConsecutiveRoot+i).U)
rsSyndRoot.io.sAxisIf := io.sAxisIf
io.synd.bits(i) := rsSyndRoot.io.synd.bits
io.synd.valid := rsSyndRoot.io.synd.valid
io.syndIf.bits(i) := rsSyndRoot.io.syndIf.bits
io.syndIf.valid := rsSyndRoot.io.syndIf.valid
}
}

// runMain Rs.GenSynd
object GenSynd extends App {
//ChiselStage.emitSystemVerilogFile(new RsSyndHorner(), Array())
//ChiselStage.emitSystemVerilogFile(new GfPolyTermEval(), Array())
ChiselStage.emitSystemVerilogFile(new RsSyndPolyEval(), Array())
//ChiselStage.emitSystemVerilogFile(new RsSyndPolyEval(), Array())
ChiselStage.emitSystemVerilogFile(new RsSynd(), Array())
}

0 comments on commit 9051c3a

Please sign in to comment.