-
Notifications
You must be signed in to change notification settings - Fork 0
Commit
This commit does not belong to any branch on this repository, and may belong to a fork outside of the repository.
- Loading branch information
0 parents
commit c1a3398
Showing
5 changed files
with
166 additions
and
0 deletions.
There are no files selected for viewing
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,26 @@ | ||
<?xml version="1.0" encoding="UTF-8" ?> | ||
<document> | ||
<!--The data in this file is primarily intended for consumption by Xilinx tools. | ||
The structure and the elements are likely to change over the next few releases. | ||
This means code written to parse this file will need to be revisited each subsequent release.--> | ||
<application name="pa" timeStamp="Thu Oct 11 14:25:21 2018"> | ||
<section name="Project Information" visible="false"> | ||
<property name="ProjectID" value="17adf9e7cac74523bf929afc694e19d1" type="ProjectID"/> | ||
<property name="ProjectIteration" value="1" type="ProjectIteration"/> | ||
</section> | ||
<section name="PlanAhead Usage" visible="true"> | ||
<item name="Project Data"> | ||
<property name="SrcSetCount" value="1" type="SrcSetCount"/> | ||
<property name="ConstraintSetCount" value="1" type="ConstraintSetCount"/> | ||
<property name="DesignMode" value="RTL" type="DesignMode"/> | ||
<property name="SynthesisStrategy" value="Vivado Synthesis Defaults" type="SynthesisStrategy"/> | ||
<property name="ImplStrategy" value="Vivado Implementation Defaults" type="ImplStrategy"/> | ||
</item> | ||
<item name="Other"> | ||
<property name="GuiMode" value="2" type="GuiMode"/> | ||
<property name="BatchMode" value="0" type="BatchMode"/> | ||
<property name="TclMode" value="1" type="TclMode"/> | ||
</item> | ||
</section> | ||
</application> | ||
</document> |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,43 @@ | ||
---------------------------------------------------------------------------------- | ||
-- Company: | ||
-- Engineer: | ||
-- | ||
-- Create Date: 04.10.2018 17:52:09 | ||
-- Design Name: | ||
-- Module Name: Mux4v1 - Behavioral | ||
-- Project Name: | ||
-- Target Devices: | ||
-- Tool Versions: | ||
-- Description: | ||
-- | ||
-- Dependencies: | ||
-- | ||
-- Revision: | ||
-- Revision 0.01 - File Created | ||
-- Additional Comments: | ||
-- | ||
---------------------------------------------------------------------------------- | ||
|
||
|
||
library IEEE; | ||
use IEEE.STD_LOGIC_1164.ALL; | ||
|
||
-- Uncomment the following library declaration if using | ||
-- arithmetic functions with Signed or Unsigned values | ||
--use IEEE.NUMERIC_STD.ALL; | ||
|
||
-- Uncomment the following library declaration if instantiating | ||
-- any Xilinx leaf cells in this code. | ||
--library UNISIM; | ||
--use UNISIM.VComponents.all; | ||
|
||
entity Mux4v1 is | ||
Port ( ); | ||
end Mux4v1; | ||
|
||
architecture Behavioral of Mux4v1 is | ||
|
||
begin | ||
|
||
|
||
end Behavioral; |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,69 @@ | ||
<?xml version="1.0" encoding="UTF-8"?> | ||
<!-- Product Version: Vivado v2014.2 (64-bit) --> | ||
<!-- --> | ||
<!-- Copyright (c) 2001-2013 Xilinx Inc, All rights reserved --> | ||
|
||
<Project Version="7" Minor="1" Path="D:/Documents/ECOLE/VHDL/PROJET/multiplexeur/multiplexeur.xpr"> | ||
<DefaultLaunch Dir="$PRUNDIR"/> | ||
<Configuration> | ||
<Option Name="Id" Val="093d3f4a6f1647299f4627185ef7e556"/> | ||
<Option Name="Part" Val="xc7z020clg484-1"/> | ||
<Option Name="CompiledLibDir" Val="$PCACHEDIR/compile_simlib"/> | ||
<Option Name="TargetLanguage" Val="VHDL"/> | ||
<Option Name="SimulatorLanguage" Val="VHDL"/> | ||
<Option Name="BoardPart" Val="em.avnet.com:zed:part0:1.0"/> | ||
<Option Name="ActiveSimSet" Val="sim_1"/> | ||
<Option Name="DefaultLib" Val="xil_defaultlib"/> | ||
</Configuration> | ||
<FileSets Version="1" Minor="31"> | ||
<FileSet Name="sources_1" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1"> | ||
<Filter Type="Srcs"/> | ||
<File Path="$PSRCDIR/sources_1/new/Mux4v1.vhd"> | ||
<FileInfo> | ||
<Attr Name="UsedIn" Val="synthesis"/> | ||
<Attr Name="UsedIn" Val="simulation"/> | ||
</FileInfo> | ||
</File> | ||
<Config> | ||
<Option Name="DesignMode" Val="RTL"/> | ||
<Option Name="TopAutoSet" Val="TRUE"/> | ||
</Config> | ||
</FileSet> | ||
<FileSet Name="constrs_1" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1"> | ||
<Filter Type="Constrs"/> | ||
<Config> | ||
<Option Name="ConstrsType" Val="XDC"/> | ||
</Config> | ||
</FileSet> | ||
<FileSet Name="sim_1" Type="SimulationSrcs" RelSrcDir="$PSRCDIR/sim_1"> | ||
<Filter Type="Srcs"/> | ||
<Config> | ||
<Option Name="DesignMode" Val="RTL"/> | ||
<Option Name="TopAutoSet" Val="TRUE"/> | ||
<Option Name="SrcSet" Val="sources_1"/> | ||
</Config> | ||
</FileSet> | ||
</FileSets> | ||
<Runs Version="1" Minor="9"> | ||
<Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7z020clg484-1" ConstrsSet="constrs_1" Description="Vivado Synthesis Defaults" State="current"> | ||
<Strategy Version="1" Minor="2"> | ||
<StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2014"/> | ||
<Step Id="synth_design"/> | ||
</Strategy> | ||
</Run> | ||
<Run Id="impl_1" Type="Ft2:EntireDesign" Part="xc7z020clg484-1" ConstrsSet="constrs_1" Description="Vivado Implementation Defaults" State="current" SynthRun="synth_1"> | ||
<Strategy Version="1" Minor="2"> | ||
<StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2014"/> | ||
<Step Id="init_design"/> | ||
<Step Id="opt_design"/> | ||
<Step Id="power_opt_design"/> | ||
<Step Id="place_design"/> | ||
<Step Id="post_place_power_opt_design"/> | ||
<Step Id="phys_opt_design"/> | ||
<Step Id="route_design"/> | ||
<Step Id="post_route_phys_opt_design"/> | ||
<Step Id="write_bitstream"/> | ||
</Strategy> | ||
</Run> | ||
</Runs> | ||
</Project> |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,12 @@ | ||
#----------------------------------------------------------- | ||
# Vivado v2014.2 (64-bit) | ||
# SW Build 932637 on Wed Jun 11 13:33:10 MDT 2014 | ||
# IP Build 924643 on Fri May 30 09:20:16 MDT 2014 | ||
# Start of session at: Thu Oct 11 14:24:38 2018 | ||
# Process ID: 11024 | ||
# Log file: D:/Documents/ECOLE/VHDL/PROJET/multiplexeur/vivado.log | ||
# Journal file: D:/Documents/ECOLE/VHDL/PROJET/multiplexeur\vivado.jou | ||
#----------------------------------------------------------- | ||
start_gui | ||
open_project {D:\Documents\ECOLE\VHDL\PROJET\multiplexeur\multiplexeur.xpr} | ||
update_compile_order -fileset sources_1 |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,16 @@ | ||
#----------------------------------------------------------- | ||
# Vivado v2014.2 (64-bit) | ||
# SW Build 932637 on Wed Jun 11 13:33:10 MDT 2014 | ||
# IP Build 924643 on Fri May 30 09:20:16 MDT 2014 | ||
# Start of session at: Thu Oct 11 14:24:38 2018 | ||
# Process ID: 11024 | ||
# Log file: D:/Documents/ECOLE/VHDL/PROJET/multiplexeur/vivado.log | ||
# Journal file: D:/Documents/ECOLE/VHDL/PROJET/multiplexeur\vivado.jou | ||
#----------------------------------------------------------- | ||
start_gui | ||
open_project {D:\Documents\ECOLE\VHDL\PROJET\multiplexeur\multiplexeur.xpr} | ||
Scanning sources... | ||
Finished scanning sources | ||
update_compile_order -fileset sources_1 | ||
exit | ||
INFO: [Common 17-206] Exiting Vivado at Thu Oct 11 14:25:23 2018... |