Skip to content

Commit

Permalink
one more case
Browse files Browse the repository at this point in the history
  • Loading branch information
alaindargelas committed Nov 28, 2023
1 parent 678a1ac commit c48dc17
Show file tree
Hide file tree
Showing 4 changed files with 27 additions and 16 deletions.
16 changes: 0 additions & 16 deletions tests/simple_tests/MultiDimHierPath/dut.sv~

This file was deleted.

2 changes: 2 additions & 0 deletions tests/simple_tests/MultiDimHierPath4/Makefile.in
Original file line number Diff line number Diff line change
@@ -0,0 +1,2 @@
TEST_FILES := $(TEST_DIR)/dut.sv
TOP_MODULE := dut
19 changes: 19 additions & 0 deletions tests/simple_tests/MultiDimHierPath4/dut.sv
Original file line number Diff line number Diff line change
@@ -0,0 +1,19 @@
module dut(
input logic clk,
input logic [3:0] a,
input logic [3:0] b,
output logic [1:0][3:0] out
);
typedef logic [3:0] logic4;

typedef struct packed {
logic4 [1:0] vector2x4;
} s_t;
s_t s;

assign s.vector2x4[0] = a;
assign s.vector2x4[1] = b;

assign out = s.vector2x4;

endmodule
6 changes: 6 additions & 0 deletions tests/simple_tests/MultiDimHierPath4/yosys_script.tcl
Original file line number Diff line number Diff line change
@@ -0,0 +1,6 @@
source ../yosys_common.tcl

prep -top \\dut
write_verilog
write_verilog yosys.sv
sim -clock clk -rstlen 10 -vcd dump.vcd

0 comments on commit c48dc17

Please sign in to comment.