Welcome to Ruth & Yarden's killer DSD project.
Feel free to look around and make yourself at home. But no plagiarism please!
library ieee;
use ieee.std_logic_1164.all;
entity github_repo is
port ( visitors : in std_logic_vector(31 downto 0);
friends : out std_logic_vector(1 downto 0)); --we're quite selective
end github_repo;